Project, Project –184 – Altera Quartus II Scripting User Manual

Page 314

Advertising
background image

3–184

Chapter 3: Tcl Packages & Commands

project

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

project

This package contains the set of Tcl functions for making project-wide assignments.

In versions before 4.0 of this package, the full path of the source file assignment was returned when you
accessed the assignment through the "get_global_assignment" or "get_all_global_assignments" command.

In version 4.0 of this package, the actual value of the source file assignment stored in the Quartus II
Settings File (.qsf) is returned. To get the resolved full path of the file, use the "resolve_file_path"
command. For more information about resolving file names and view an example, type "resolve_file_path
-long_help".

In version 5.0 of this package, two new Tcl commands "get_all_assignments" and "get_assignment_info"
have been introduced to replace the following commands:

get_all_quartus_defaults

get_all_global_assignments

get_all_instance_assignments

get_all_parameters

These two new commands simplify the interface to retrieve information about Quartus II Settings File
(.qsf) and Quartus II Default Settings File (.qdf) assignments.

In addition, the new "assignment_group" command replaces the deprecated "timegroup" command.

In version 6.0, all Tcl commands designed to process Timing Analyzer assignments have been moved to
the ::quartus::timing_assignment package.

This package is loaded by default in the following executables:

quartus

quartus_cdb

quartus_drc

quartus_eda

quartus_fit

quartus_map

quartus_sh

quartus_si

quartus_sim

quartus_sta

quartus_stp

quartus_tan

This package includes the following commands:

Advertising