Altera Quartus II Scripting User Manual

Page 541

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–411

sta

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

puts "From Clock : [ get_clock_string $path from]"
puts ""
puts \
[format "%10s %8s %-2s %-6s %s" "Total" "Incr" "RF" "Type" "Name"]
puts \
"=================================================================="

foreach_in_collection pt [ get_path_info $path -arrival_points ] {
print_point $pt
}

}

project_open my_project

# Always create the netlist first
create_timing_netlist
read_sdc my_project.sdc
update_timing_netlist

# And now simply iterate over the 10 worst setup paths, printing each
# path
foreach_in_collection path [ get_timing_paths -npaths 10 -setup ] {

print_path $path
puts ""

}

delete_timing_netlist
project_close

Advertising