Get_clock_delay_path, Usage, Options – Altera Quartus II Scripting User Manual

Page 143: Description, Example, Get_clock_delay_path –13

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–13

advanced_timing

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

get_clock_delay_path

Usage

get_clock_delay_path -from <node> -to <node> -type <type>

Options

-from <node>: Source node

-to <node>: Destination node

-type <type>: Longest or shortest path

Description

Returns a list of all nodes in the path between clock node and keeper.

A keeper is a node of the type pin, register, or clock.

Example

# Print the longest paths from source clock
# to destination register pairs
load_package advanced_timing
project_open <design>
create_timing_netlist
create_p2p_delays
foreach_in_collection node [get_timing_nodes -type reg] {
set reg_name [get_timing_node_info -info name $node]
set delays_from_clock [get_delays_from_clocks $node]
puts "register $reg_name has longest paths from clocks:"
foreach delay $delays_from_clock {
set src_node [lindex $delay 0]
puts "-> clock is [get_timing_node_info -info name $src_node]"
set path \
[get_clock_delay_path -type longest -from $src_node -to $node]
foreach el $path {
puts "--> node is [get_timing_node_info -info name \
[lindex $el 0]]"
puts "--> delay is [lindex $el 1]"
}
}
}
project_close

Advertising