Altera Quartus II Scripting User Manual

Page 399

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–269

report

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

unload_report
project_close

Advertising