Set_input_delay, Usage, Options – Altera Quartus II Scripting User Manual

Page 442: Description, Set_input_delay –312

Advertising
background image

3–312

Chapter 3: Tcl Packages & Commands

sdc

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

set_input_delay

Usage

set_input_delay [-add_delay] -clock <name> [-clock_fall] [-fall] [-max] [-min]
[-reference_pin <name>] [-rise] [-source_latency_included] <delay> <targets>

Options

-add_delay: Add to existing delays instead of overriding them

-clock <name>: Clock name

-clock_fall: Specifies that input delay is relative to the falling edge of the clock

-fall: Specifies the falling input delay at the port

-max: Applies value as maximum data arrival time

-min: Applies value as minimum data arrival time

-reference_pin <name>: Specifies a port in the design to which the input delay is
relative

-rise: Specifies the rising input delay at the port

-source_latency_included: Specifies that input delay includes added source latency

<delay>: Time value

<targets>: List of input port type objects

Description

Specifies the data arrival times at the specified input ports relative the clock specified by the -clock option.
The clock must refer to a clock name in the design.

Input delays can be specified relative to the rising edge (default) or falling edge (-clock_fall) of the clock.

If the input delay is specified relative to a simple generated clock (a generated clock with a single target),
the clock arrival times to the generated clock are added to the data arrival time.

Input delays can be specified relative to a port (-reference_pin) in the clock network. Clock arrival times to
the reference port are added to data arrival times. Non-port reference pins are not supported.

Input delays can already include clock source latency. By default the clock source latency of the related
clock is added to the input delay value, but when the -source_latency_included option is specified, the
clock source latency is not added because it was factored into the input delay value.

The maximum input delay (-max) is used for clock setup checks or recovery checks and the minimum
input delay (-min) is used for clock hold checks or removal checks. If only -min or -max (or neither) is
specified for a given port, the same value is used for both.

Separate rising (-rise) and falling (-fall) arrival times at the port can be specified. If only one of -rise and
-fall are specified for a given port, the same value is used for both.

By default, set_input_delay removes any other input delays to the port except for those with the same
-clock, -clock_fall, and -reference_pin combination. Multiple input delays relative to different clocks, clock
edges, or reference pins can be specified using the -add_delay option.

The value of the targets is either a collection or a Tcl list of wildcards used to create a collection of the
appropriate type. The values used must follow standard Tcl or TimeQuest-extension substitution rules.
See help for the use_timequest_style_escaping command for details.

Advertising