All_inputs, Usage, Options – Altera Quartus II Scripting User Manual

Page 414: Description, Example, All_inputs –284

Advertising
background image

3–284

Chapter 3: Tcl Packages & Commands

sdc

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

all_inputs

Usage

all_inputs

Options

None

Description

Returns a collection of all input ports in the design.

Example

project_open chiptrip
create_timing_netlist
foreach_in_collection in [all_inputs] {
puts [get_port_info -name $in]
}
set_input_delay -clock clock1 2.0 [all_inputs]
delete_timing_netlist
project_close

Advertising