Examples, Rbf –23 rpd –23 svf –23, Rbf –23 – Altera Quartus II Scripting User Manual

Page 49: Rpd –23 svf –23

Advertising
background image

Chapter 2: Command-line Executables

2–23

quartus_cpf

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

Alternatively, you can change configuration device options using an ASCII text option file. Refer to the
help for the "-o" option for more information about the option file. If you do not specify an option file and
a .cof, default values are used, or values are read from a .cof.

Examples

# To convert .sof to .pof
quartus_cpf -c -d <config_device_name> <input_sof_file> <output_pof_file>

# To use option file
quartus_cpf -c -o <option_file> -d <config_device_name> <input_sof_file>
<output_pof_file>

# To use .cof
quartus_cpf -c <input_cof_file>

rbf

To generate a Raw Binary File (.rbf), specify the input file name and output file name. Make sure file
extension of the output file is .rbf. The input file can be only an SRAM Object File (.sof).

Examples

# To convert .sof to .rbf
quartus_cpf -c <input_sof_file> <output_rbf_file>

# To use a Conversion Setup File (.cof) created with
# the Convert Programming Files dialog box in the UI
quartus_cpf -c <input_cof_file>

rpd

To generate a Raw Programming Data File (.rpd), specify the input file name and output file name. Make
sure the file extension of the output file is .rpd. The input file can be only a Programmer Object File (.pof).

Examples

# To convert .pof to .rpd
quartus_cpf -c <input_pof_file> <output_rpd_file>

# To use a Conversion Setup File (.cof) created with
# the Convert Programming Files dialog box in the UI
quartus_cpf -c <input_cof_file>

svf

To generate a Serial Vector Format File (.svf), you must use three arguments: "-q" ("--frequency") to specify
the JTAG TCK clock frequency, "-g" ("--voltage") to specify the VCC level, and "-n" ("--operation") to specify
the programming operation.

Make sure to specify the units for frequency and voltage.

Use a Chain Description File (.cdf) to generate the .svf for a multi-device chain.

Examples

# To use 4.5 MHz TCK, 3.3V supply, and programming option
quartus_cpf -c -q 4.5MHz -g 3.3 -n p <input_pof_file> <output_svf_file>

# To use 10 MHz TCK, 3.3V supply, and verify option
quartus_cpf -c -q 10MHz -g 3.3 -n v <input_sof_file> <output_svf_file>

# To use 45 KHz TCK, 1.8V supply, and programming+blank_check option
quartus_cpf -c -q 45KHz -g 1.8 -n pb <input_cdf_file> <output_svf_file>

Advertising