Report_script=<none, Sdc=<none, Speed=<none – Altera Quartus II Scripting User Manual

Page 107: Temperature=<value_in_c, Tq2hc, Report_script=<none> –81, Sdc=<none> –81, Speed=<none> –81, Temperature=<value_in_c> –81 --tq2hc –81

Advertising
background image

Chapter 2: Command-line Executables

2–81

quartus_sta

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

--report_script=<NONE>

Name of the custom Tcl script called at the end of the default script, but before the netlist is destoryed. The
behavior of the default script is equivalent to the following if this option is specified:

project_open <rev>
create_timing_netlist <options>
read_sdc if defined
update_timing_netlist
create summary panels

# The custom script is loaded here
source <script_name>

delete_netlist
project_close

An example of the script is shown next:

set setup_domain_list [get_clock_domain_info -setup]

# Report the Worst Case setup slack per clock
foreach domain $setup_domain_list {

report_timing -setup -to_clock [lindex $domain 0]

}

--sdc=<NONE>

Name of the SDC File to read. If this option is not specified, the TimeQuest timing analyzer reads the
default <rev>.sdc file if it exists.)

--speed=<NONE>

Option to specify the device speed grade to use when running the TimeQuest timing analyzer.

Examples:

quartus_map top --family=Stratix
quartus_fit top --part=EP1S10F780C7
# Run Timing Analysis for every speed grade
quartus_sta top --speed 5

--temperature=<value_in_C>

Option to specify the device temperature (C) to use when running the TimeQuest timing analyzer.

Examples:

quartus_map top --family=Stratix
quartus_fit top --part=EP1S10F780C7
# Run Timing Analysis for every speed grade
quartus_sta top --model slow --temperature 0 -voltage 1200

--tq2hc

Generate temporary files to convert the TimeQuest timing analyzer SDC file(s) to a PrimeTime SDC file
that can be used by the HardCopy Design Center (HCDC). The HardCopy SDC files are required to
generate the required handoff files. BY default, when using this option quartus_sta converts the SDC files
specified by the SDC_FILE QSF variable. Use "--sdc <file>" to manually specify the SDC to convert. This
option is equivalent to the following Tcl commands:

Advertising