Altera Quartus II Scripting User Manual

Page 512

Advertising
background image

3–382

Chapter 3: Tcl Packages & Commands

sta

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

delete_timing_netlist
project_close

Advertising