Altera Quartus II Scripting User Manual

Page 382

Advertising
background image

3–252

Chapter 3: Tcl Packages & Commands

report

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

# Save the changes to the report database
save_report_database

unload_report
project_close

Advertising