Settings file options, Read_settings_files[=on|off, Overview – Altera Quartus II Scripting User Manual

Page 124: Command-line option details, Option precedence, Settings file options –98, Read_settings_files[=on|off] –98

Advertising
background image

2–98

Chapter 2: Command-line Executables

Settings File Options

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

Settings File Options

Command-line executables that read and write the Quartus II Settings File support the following options:

Option

Page

--read_settings_files[=on|off] .............................................................................................................. 2–98
--write_settings_files[=on|off]........................................................................................................... 2–100

--read_settings_files[=on|off]

Overview

Option to read the assignments from the Quartus II Settings File (.qsf) and override assignments obtained
from the database. All options that pass from the command line still override any conflicting assignments
found in the QSF.

By default, assignments are read from the QSF unless you specify "--read_settings_files=off".

Command-Line Option Details

Command-line options are provided for making many common global project settings and performing
common tasks. You can use either of two methods to make assignments to an individual entity. If the
project exists, open the project in the Quartus II GUI, change the assignment, and close the project. The
changed assignment is updated in the QSF. Any command-line executables you run after this update use
the updated assignment. See "Option Precedence" below for more information. You can also make
assignments using the Quartus II Tcl scripting API. To completely script the creation of a Quartus II
project, choose this method.

Option Precedence

If you are using the command-line executables, you need to be aware of the precedence of various project
assignments and how to control the precedence. Assignments for a particular project exist in the QSF for
the project. Assignments for a project can also be made by using command-line options, as described
earlier. Project assignments are reflected in compiler database files that hold intermediate compilation
results and reflect assignments made in the previous project compilation.

All command-line options override any conflicting assignments found in the QSF or the compiler database
files. There are two command-line options to specify whether QSF or compiler database files take
precedence for any assignments not specified as command-line options.

Note: Any assignment not specified as a command-line option or found in the QSF or compiler database
files is set to its Quartus II software default value.

The file precedence command-line options are --read_settings_files and --write_settings_files. By default,
the --read_settings_files and --write_settings_files options are turned on. Turning on the
--read_settings_files option causes a command-line executable to read assignments from the QSF instead
of from the compiler database files. Turning on the --write_settings_files option causes a command-line
executable to update the QSF to reflect any specified options, as happens when closing a project in the
Quartus II GUI.

Table 2–1

lists the precedence for reading assignments depending on the value of the --read_settings_files

option.

Advertising