Introduction, Overview, Quartus ii software command-line operation support – Altera Quartus II Scripting User Manual

Page 19: Introduction –1 overview –1

Advertising
background image

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

1. Introduction to the Quartus II Scripting

Reference Manual

Introduction

The Quartus

®

II design software provides the FPGA industry’s easiest-to-use and

most powerful scripting environment available for command-line operation and tool
command language (Tcl) scripting. This scripting environment is offered in addition
to the Quartus II development software rich graphical user interface (GUI).

This overview covers the Quartus II design software support for command-line
operation and Tcl scripting.

Overview

Each stage of the Quartus II software design flow corresponds to a command-line
executable file. Many of these executable files also support industry-standard Tcl
scripting for custom functionality or processing beyond the GUI design flow.
Quartus II design software offers the following scripting support benefits, also known
as CAR:

Custom Analysis

Automation

Reproducibility

Custom analysis allows you to build test procedures into the script and change design
processing based on the test results. Scripts can automate design flows to perform on
multiple computers simultaneously and easily archive and restore projects.
Reproducibility ensures that scripts use the same project setup and assignments for
every compile, even when you transfer a project from one engineer to another. In
other words, you can use scripts as another level of design quality assurance.

The Quartus II Scripting Reference Manual is your reference guide to Quartus II
software command-line executables and Tcl commands, including command details,
usage, and examples.

All of the information included in the Quartus II Scripting Reference Manual, as well as
the most up-to-date list of commands, can also be found in the Quartus II software Tcl
API and command-line executable online help reference, Qhelp. To access this
information within Quartus II design software, type the following command at the
command prompt:

quartus_sh --qhelp

r

Quartus II Software Command-Line Operation Support

Use command-line operation for:

Scripting simple design flows

Compiling existing projects

Making global project assignments

Advertising