Altera Quartus II Scripting User Manual

Page 134

Advertising
background image

3–4

Chapter 3: Tcl Packages & Commands

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

get_clocks

sdc

3–293

get_clocks

timing_assignment

3–489

get_collection_size

misc

3–175

get_current_revision

project

3–207

get_current_state_of_output_pin

logic_analyzer_interface

3–164

get_datasheet

sta

3–395

get_default_sdc_file_names

sta

3–397

get_delay_path

advanced_timing

3–14

get_delays_from_clocks

advanced_timing

3–15

get_delays_from_keepers

advanced_timing

3–16

get_device_names

jtag

3–157

get_edge_info

sta

3–398

get_edge_slacks

sta

3–399

get_editable_mem_instances

insystem_memory_edit

3–127

get_environment_info

misc

3–176

get_family_list

device

3–92

get_fanins

sdc_ext

3–327

get_fanouts

sdc_ext

3–328

get_fitter_resource_usage

report

3–253

get_global_assignment

project

3–208

get_hardware_names

jtag

3–158

get_illegal_delay_value

advanced_timing

3–17

get_info_parameters

chip_planner

3–53

get_instance_assignment

project

3–209

get_insystem_source_probe_instance_info

insystem_source_probe

3–134

get_iports

chip_planner

3–54

get_keepers

sdc_ext

3–329

get_location_assignment

project

3–210

get_logiclock

incremental_compilation

3–113

get_logiclock_contents

incremental_compilation

3–114

get_max_delay_value

advanced_timing

3–18

get_min_pulse_width

sta

3–400

get_name_info

project

3–211

get_names

project

3–213

get_net_info

sta

3–401

get_nets

sdc

3–294

get_node_by_name

chip_planner

3–55

get_node_info

chip_planner

3–56

get_node_info

sta

3–402

Command Name

Package

Page

Advertising