Altera Quartus II Scripting User Manual

Page 404

Advertising
background image

3–274

Chapter 3: Tcl Packages & Commands

rtl

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

puts [get_rtl_cell_info -name $cell]
}

Advertising