Sdc_ext, Sdc_ext –323 – Altera Quartus II Scripting User Manual

Page 453

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–323

sdc_ext

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

sdc_ext

Timing Constraints not defined in the SDC Spec Version 1.5 are implemented in this package. Any
command in this package can be specified in a TimeQuest SDC file.

This package is loaded by default in the following executable:

quartus_sta

This package is available for loading in the following executable:

quartus_map

This package includes the following commands:

Command

Page

derive_clock_uncertainty.................................................................................................................... 3–324
derive_pll_clocks.................................................................................................................................. 3–325
get_assignment_groups ...................................................................................................................... 3–326
get_fanins .............................................................................................................................................. 3–327
get_fanouts............................................................................................................................................ 3–328
get_keepers ........................................................................................................................................... 3–329
get_nodes .............................................................................................................................................. 3–330
get_partitions ........................................................................................................................................ 3–331
get_registers .......................................................................................................................................... 3–332
remove_annotated_delay.................................................................................................................... 3–333
remove_clock ........................................................................................................................................ 3–334
reset_timing_derate ............................................................................................................................. 3–335
set_active_clocks .................................................................................................................................. 3–336
set_annotated_delay ............................................................................................................................ 3–337
set_max_skew....................................................................................................................................... 3–338
set_net_delay ........................................................................................................................................ 3–340
set_scc_mode ........................................................................................................................................ 3–341
set_time_format.................................................................................................................................... 3–342
set_timing_derate................................................................................................................................. 3–343

Advertising