All_outputs, Usage, Options – Altera Quartus II Scripting User Manual

Page 415: Description, Example, All_outputs –285

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–285

sdc

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

all_outputs

Usage

all_outputs

Options

None

Description

Returns a collection of all output ports in the design.

Example

project_open chiptrip
create_timing_netlist
foreach_in_collection out [all_outputs] {
puts [get_port_info -name $out]
}
set_output_delay -clock clock1 2.0 [all_outputs]
delete_timing_netlist
project_close

Advertising