Altera Quartus II Scripting User Manual

Page 570

Advertising
background image

3–440

Chapter 3: Tcl Packages & Commands

sta

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

0.100 -detail full_path

delete_timing_netlist
project_close

Advertising