Force_simulation_value, Usage, Options – Altera Quartus II Scripting User Manual

Page 489: Description, Example, Force_simulation_value –359

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–359

simulator

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

force_simulation_value

Usage

force_simulation_value -node <hpath> <value>
<ASCII|Binary|Fractional|Hexadecimal|Octal|Signed Decimal|Unsigned Decimal>

Options

-node <hpath>: Hierarchical path name of the signal

<value>: Value to which you want to force the signal

<ASCII|Binary|Fractional|Hexadecimal|Octal|Signed Decimal|Unsigned Decimal>: Radix of
the value to which you want to force the signal. Default value is binary. Value can be
specified using only first 3 (except 4 for fractional) characters. E.g. sig, asc, frac

Description

Forces the specified signal or group of signals to the specified value in the specified radix.

Example

Example 1

---------
project_open gates

initialize_simulation -ignore_vector_file on -end_time 600ns

run_simulation -time 100ns

# Set input values for node "ina" and "inb"
force_simulation_value -node ina 0
force_simulation_value -node inb 1

run_simulation -time 100ns

# Check the value of "$ina NAND $inb"
if {[force_simulation_value -node nand_out] != [expr !($ina && $inb)]} {

puts "$ina NAND $inb = [force_simulation_value -node nand_out]"

}

run_simulation

project_close

Example 2

---------
project_open lelut

initialize_simulation -ignore_vector_file on -end_time 100ns

# Group "inDataa inDatab inDatac inDatad" as "input_bus"
group_simulation_signal -name input_bus {inDataa inDatab inDatac inDatad}

# Set value "1011" for the group of signals
force_simulation_value -node input_bus 1011

# Set value 0 for node "clk1"
force_simulation_value -node clk1 0

run_simulation -time 20ns

# Set value 1 for node "clk1"

Advertising