Get_all_quartus_defaults, Usage, Options – Altera Quartus II Scripting User Manual

Page 332: Description, Example, Get_all_quartus_defaults –202

Advertising
background image

3–202

Chapter 3: Tcl Packages & Commands

project

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

get_all_quartus_defaults

Usage

get_all_quartus_defaults [-name <name>] [-section_id <section id>]

Options

-name <name>: Assignment name (string pattern is matched using Tcl string matching)

-section_id <section id>: Section id

Description

Returns a filtered output collection of all matching default assignment values. To access each element of
the output collection, use the Tcl command "foreach_in_collection". To see example usage, type
"foreach_in_collection -long_help".

In version 5.0 of ::quartus::project package, two new Tcl commands "get_all_assignments" and
"get_assignment_info" have been introduced to replace the "get_all_quartus_defaults" command. These
two new commands simplify the interface to retrieve information about Quartus II Settings File (.qsf)
assignments. The "get_all_quartus_defaults" command is still supported for backward compatibility.

The "-name" option is not case sensitive. This option can take string patterns containing special characters
from the set "*?\[]" as the value. The value is matched using Tcl string matching.

This Tcl command reads in the default assignments found inside the Quartus II Default Settings File (.qdf).
It filters the assignments data found inside the .qdf and outputs the data based on the values specified by
the "-name" option.

Each element of the collection is a list with the following format: { {<Section Id>} {<Assignment name>}
{<Assignment value>} }

Certain sections in the .qsf can appear more than once. For example, because there may be more than one
clock used in a project, there may be more than one CLOCK section each containing its own set of clock
assignments. To uniquely identify sections of this type, a <Section Id> is used. <Section Id> can be one of
three types. It can be the same as the revision name, or it can be some unique name. The following is a list
of sections requiring a <Section Id> and the associated <Section Id> description:

Example

## Print out all the default assignments using
## the foreach_in_collection method

set default_asgns_col [get_all_quartus_defaults]
foreach_in_collection default $default_asgns_col {

set sect_id [lindex $default 0]

Section Id

Description

CHIP

Same as revision name

LOGICLOCK_REGION

A unique name

EDA_TOOL_SETTINGS

A unique name

CLIQUE

A unique name

BREAKPOINT

A unique name

CLOCK

A unique name

AUTO_INSERT_SLD_NODE_ENTITY

A unique name

Advertising