Synopsis, Usage, Options – Altera Quartus II Scripting User Manual

Page 96

Advertising
background image

2–70

Chapter 2: Command-line Executables

quartus_sh

Quartus II Scripting Reference Manual

© July 2013

Altera Corporation

Synopsis

Usage

quartus_sh --simlib_comp [options]

Options

-family
-tool <simulation tool name>
-language <language>
-directory <directory>
-log <filename>
-suppress_messages
-gui
-family

Required option. Specifies the device family for which you are compiling libraries. This will result in the
compilation of all libraries required for RTL and gate-level simulations.

Note: The family name should be specified in all lowercase, with no spaces.

-tool <simulation tool name>

Required option. Specify one of the following tool names:

modelsim
vcs
vcsmx
ncsim
activehdl
rivierapro

Note: No libraries are generated for VCS. Instead a VCS options file, simlib_comp.vcs, is generated that
specifies the library source files.

Note: Global libraries are created for for Active HDL, but not for Riviera-PRO

-language <language>

Required option. This must be either verilog or vhdl.

-directory <directory>

Not a required option. The directory in which to create the compiled library directories. If not specified the
default is the current directory ( ./ )

The libraries are compiled into a single directory (verilog_libs or vhdl_libs) containing subdirectories for
each of the compiled libraries. The subdirectory names for Verilog libraries are always suffixed with _ver,
whereas the VHDL library directories have no suffix.

For example, the Verilog version of the altera_mf library would be:

<directory>/verilog_libs/altera_mf_ver

and the VHDL version would be:

<directory>/vhdl_libs/altera_mf

-log <filename>

Not a required option. Specifies the file to store all messages issued during the compilation that were not
suppressed using the -suppress_messages option. If this option is not specified then no log file is used.

-suppress_messages

Advertising