Altera Quartus II Scripting User Manual

Page 3

Advertising
background image

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

Contents

About this Reference Manual

Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvii
How to Contact Altera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvii
Typographic Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xviii

Chapter 1. Introduction to the Quartus II Scripting Reference Manual

Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1

Quartus II Software Command-Line Operation Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1
Quartus II Software Tcl Scripting Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2
Command-Line Executables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2
Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–5

Related Documentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–7

Chapter 2. Command-line Executables

quartus_asm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–1

Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–1

quartus_cdb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2

Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–2
--back_annotate=<demotion type> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–4
--bottom_up_scripts_output_directory[=<value>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–4
--bottom_up_scripts_virtual_input_pin_delay[=<value>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–5
--bottom_up_scripts_virtual_output_pin_delay[=<value>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–5
--create_companion[=<companion revision>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–5
--disable_auto_global_promotion_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–6
--export_database=<directory> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–6
--generate_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–6
--generate_hc_files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–7
--generate_hc_pll_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–7
--hc_archive[=<output file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–8
--hc_min_archive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–8
--hc_ready . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–8
--hc_review . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–9
--import_database=<directory> . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–9
--include_all_logiclock_regions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_design_partitions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_global_signal_promotion_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_logiclock_regions_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_makefiles_with_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–10
--include_pin_locations_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_project_creation_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_timing_assignments_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_virtual_input_pin_timing_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . 2–11
--include_virtual_output_pin_timing_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . 2–12
--include_virtual_pin_locations_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12
--include_virtual_pins_in_bottom_up_scripts[=on|off] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12
--incremental_compilation_export[=<.qxp file>] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2–12
--incremental_compilation_export_netlist_type=<POST_SYNTH|POST_FIT> . . . . . . . . . . . . . . . 2–13

Advertising