Get_default_sdc_file_names, Usage, Options – Altera Quartus II Scripting User Manual

Page 527: Description, Example, Get_default_sdc_file_names –397

Advertising
background image

Chapter 3: Tcl Packages & Commands

3–397

sta

© July 2013

Altera Corporation

Quartus II Scripting Reference Manual

get_default_sdc_file_names

Usage

get_default_sdc_file_names

Options

None

Description

Returns the default SDC file name(s) used by the Quartus II Compiler when doing timing-driven
optimizations.

Returns the value for the QSF variable SDC_FILE. If multiple assignments are found, return them as a list
If not specified, return <revision_name>.sdc.

Example

project_new test
create_timing_netlist
foreach file [get_default_sdc_file_names] {
read_sdc $file
}
update_timing_netlist

report_timing

delete_timing_netlist
project_close

Advertising