Setting up the bitporter pod connection, 3 setting up the bitporter pod connection, Running the snapshot debugger chapter 4. tasks – Achronix ACE Version 5.0 User Manual

Page 301

Advertising
background image

Running the SnapShot Debugger

Chapter 4. Tasks

51

S t i m u l i

: out s t d l o g i c v e c t o r ( 3 5 downto 0 ) ;

52

R s t n o u t

: out s t d l o g i c ;

53

Arm

: out s t d l o g i c

54

) ;

55

end component ;

56
57

component u s e r s l o g i c i s

58

p o r t ( c l k

: i n

s t d l o g i c ;

59

r s t n

: i n

s t d l o g i c ;

60

d a t a o u t f r o m b l o c k

: out s t d l o g i c v e c t o r ( MNTR WIDTH−1 downto 0 ) ;

61

o p t i o n a l t e s t r s t n i n

: i n

s t d l o g i c ;

62

o p t i o n a l t e s t a r m i n

: i n

s t d l o g i c ;

63

o p t i o n a l t e s t s t i m u l i i n

: i n

s t d l o g i c v e c t o r ( 3 5 downto 0 )

64

) ;

65

end component ;

66

−−NOTE : I t i s not n e c e s s a r y t o connect th e S t i m u l i , Arm , and R s t n o u t t o the user l o g i c .

67

−−

These s i g n a l s may s a f e l y be l e f t f l o a t i n g , i f t h e u s er only wants t o t r a c e e x i s t i n g

68

−−

s i g n a l s , and not cause s p e c i f i c behavior through t h e debugger .

69
70

−− SIGNAL DECLARATIONS−−

71

s i g n a l u s e r d a t a t o m o n i t o r a n d t r i g g e r : s t d l o g i c v e c t o r ( MNTR WIDTH−1 downto 0 ) ;

72

−− t h e s e s i g n a l s w i l l be monitored i n SnapShot , with ( 7 1 downto 3 6 ) captured ,

73

−− and ( 3 5 downto 0 ) captured and s e n t t o t r i g g e r −d e t e c t o r b l o c k s as t r i g g e r c h

74

s i g n a l s t i m u l i t o d u t

: s t d l o g i c v e c t o r ( 3 5 downto 0 ) ;

75

−− provides t e s t s t i m u l i t o u s e r l o g i c from SnapShot ; t h i s may s a f e l y be l e f t f l o a t i n g

76

s i g n a l r s t n o u t t o d u t

: s t d l o g i c ;

77

−− i n d i c a t e s SnapShot i s r e s e t t i n g ; t h i s may s a f e l y be l e f t f l o a t i n g

78

s i g n a l arm to dut

: s t d l o g i c ;

79

−− i n d i c a t e s SnapShot i s armed ( and has s t a r t e d t e s t i n g p a t t e r n s ) , s t i m u l i i s v a l i d ;

80

−− t h i s may s a f e l y be l e f t f l o a t i n g

81
82
83

begin

84

−−MACRO INSTANTIATION−−

85

s n a p s h o t i n s t a n c e : ACX SNAP SHOT

86

g e n e r i c map ( MNTR WIDTH = > MNTR WIDTH

,

87

OUTPUTPIPELINING = > 3

,

88

DUTNAME = > ” u s e r s l o g i c b l o c k i n s t a n c e ” )

89

p o r t map ( t c k

=> t c k

,

90

t r s t n

=> t r s t n

,

91

tms

=> tms

,

92

t d i

=> t d i

,

93

tdo

=> tdo

,

94

u s r c l k

=> u s r c l k

,

95

Monitor ch = > u s e r d a t a t o m o n i t o r a n d t r i g g e r

,

96

t r i g g e r c h = > u s e r d a t a t o m o n i t o r a n d t r i g g e r ( 3 5 downto 0 ) ,

97

S t i m u l i

=> s t i m u l i t o d u t

,

98

R s t n o u t

=> r s t n o u t t o d u t

,

99

Arm

=> arm to dut

) ;

100
101

u s e r s l o g i c b l o c k i n s t a n c e : u s e r s l o g i c

102

p o r t map ( c l k

=> u s r c l k

,

103

r s t n

=> u s r r s t n

,

104

d a t a o u t f r o m b l o c k

=> u s e r d a t a t o m o n i t o r a n d t r i g g e r ,

105

o p t i o n a l t e s t r s t n i n

=> r s t n o u t t o d u t

,

106

o p t i o n a l t e s t a r m i n

=> arm to dut

,

107

o p t i o n a l t e s t s t i m u l i i n = > s t i m u l i t o d u t

) ;

108

end arch ;

109

−−END VHDL EXAMPLE−−

Synthesis

After incorporating the SnapShot macro into the design, the user will be able to synthesize the design with
the Synplify-Pro or Precision synthesis tool. After synthesis, the generated netlist can be imported into ACE
to generate the FPGA programming file (bitstream).

Setting Up the Bitporter Pod Connection

The following section describes how to configure the

SnapShot Debugger view

for communication with

an already-connected Bitporter and an already-programmed Achronix device. For details on physically
connecting the Bitporter pod/cables, please see the Bitporter User Guide (UG004).

In the

SnapShot Debugger view

, under ”BitPorter Connection” either select Automatically Detect Pod or

Specify Pod Name

to choose which already-connected Bitporter pod will be used to communicate with the

already-running design on the Achronix device.

289

http://www.achronix.com

UG001 Rev. 5.0 - 5th December 2012

Advertising