Setting triggering range, Configuring the samples, Collecting samples – Achronix ACE Version 5.0 User Manual

Page 304: 7 setting triggering range, 8 configuring the samples, 9 collecting samples

Advertising
background image

Running the SnapShot Debugger

Chapter 4. Tasks

Setting Triggering Range

In the

SnapShot Debugger view

, the ”Pre-Store” setting configures the how many samples are collected

before the trigger, and (indirectly) how many are collected after the trigger. (Reminder: SnapShot always
collects 1024 samples.)

Effect of ”Pre-store” on samples collected before and after the trigger event

”Pre-Store” value

Samples collected before trigger

Samples collected
after trigger

0%

0

1024

25%

256

768

50%

512

512

75%

768

256

Configuring the Samples

The value of ”Monitor Channel Width” in the

SnapShot Debugger view

must be configured to match the

value of the MNTR WIDTH parameter of the ACX SNAP SHOT instance inside the RTL of the design being
debugged. (This will be the width of the monitor ch bus.)

The value of ”Frequency (MHz)” in the SnapShot Debugger view must be configured to match the usr clk
timing constraint set in the SDC file of the design being debugged.

Naming captured signal data

Custom signal names for each channel can be entered under the ”Signal Name” heading within the ”Monitor
Channels” table. The signal/bus names in the ”Monitor Channels” table are then used as labels on the
captured signal data in the VCD waveform output, and will be visible in the

VCD Waveform Editor

.

Multiple signals can be combined into a bus by selecting multiple rows in the ”Monitor Channels” table,
right-clicking on a selected signal row to bring up a popup context menu, and selecting Bus Assignment
(

) from the context menu to bring up the

Assign Bussed Signal Names Dialog

. Alternately, once signal

rows are selected, the user may press the Bussed Signal Assignment (

) button in the SnapShot Debugger

view’s toolbar. After configuring the bus in the

Assign Bussed Signal Names Dialog

, the bus name and

indices are propagated to all the previously-selected signals. (To select a contiguous range of rows, select
the first signal, hold the Shift key, and select the last signal. To select a non-contiguous set of rows, select the
first signal, then while holding down the Ctrl key on the keyboard, select the other signals.)

Signal names may be returned to their defaults by selecting the Reset Signal Names button under the
”Monitor Channels” table. Note that this resets all signal names in the table at once, not just the currently
selected rows/signals.

Collecting Samples

Configure output file locations

The final SnapShot configuration steps specify the locations of the output files which will contain the sample
data collected by SnapShot.

”Log File” configures the file name and path for the log file generated by the SnapShot Debugger run. The
associated Browse button provides a directory/file selection dialog for the selection of a location different
from the default (<

user home

>

/snapshot.log

). If an error occurs during setup or while reading back

the sample information, the SnapShot log file will contain the error messages.

”Waveform File” configures the file name and path for storing downloaded sample waveform information
from the SnapShot Debugger core in VCD format. The Browse button allows for the selection of a location
different from the default (<

user home

>

/snapshot.vcd

).

UG001 Rev. 5.0 - 5th December 2012

http://www.achronix.com

292

Advertising