Execute_write_data_phase(), Example, Procedures simultaneously; otherwise – Altera Mentor Verification IP Altera Edition AMBA AXI4-Lite User Manual

Page 196

Advertising
background image

Mentor Verification IP AE AXI4-Lite User Guide, V10.3

196

VHDL Master BFM
execute_write_data_phase()

April 2014

execute_write_data_phase()

This procedure executes a write data phase that is uniquely identified by the transaction_id
argument and previously created by the

create_write_transaction()

procedure. This phase can

be blocking (default) or nonblocking, defined by the transaction record operation_mode field.

The execute_write_data_phase() sets the WVALID protocol signal at the appropriate time
defined by the transaction record data_valid_delay field when the phase complete.

Example

-- Create a write transaction with start address of 0.
-- Creation returns tr_id to identify the transaction.
create_write_transaction(0, tr_id, bfm_index, axi4_tr_if_0(bfm_index));

....

-- Execute the write data phase for the tr_id transaction.
execute_write_data_phase(tr_id, bfm_index, axi4_, tr_if_0(bfm_index));

Prototype

procedure execute_write_data_phase
(

transaction_id : in integer;
bfm_id : in integer;
path_id : in axi4_path_t; --optional
signal tr_if : inout axi4_vhd_if_struct_t

);

Arguments

transaction_id

Transaction identifier. Refer to

Overloaded Procedure Common

Arguments

” on page 151 for more details.

bfm_id

BFM identifier. Refer to

Overloaded Procedure Common Arguments

on page 151 for more details.

path_id

(Optional) Parallel process path identifier:

AXI4_PATH_0
AXI4_PATH_1
AXI4_PATH_2
AXI4_PATH_3
AXI4_PATH_4

Refer to

Overloaded Procedure Common Arguments

” on page 151 for

more details.

tr_if

Transaction signal interface. Refer to

Overloaded Procedure Common

Arguments

” on page 151 for more details.

Returns

None

Advertising