Editing the modelsim.ini file, R to, Editing the – Altera Mentor Verification IP Altera Edition AMBA AXI4-Lite User Manual

Page 363: Modelsim.ini file

Advertising
background image

Getting Started with Qsys and the BFMs

Setting Up Simulation from the Windows GUI

Mentor Verification IP AE AXI4-Lite User Guide, V10.3

363

April 2014

$env(MENTOR_VIP_AE)/axi4/bfm/mgc_common_axi4.sv \
$env(MENTOR_VIP_AE)/axi4/bfm/mgc_axi4_monitor.sv \
$env(MENTOR_VIP_AE)/axi4/bfm/mgc_axi4_inline_monitor.sv \
$env(MENTOR_VIP_AE)/axi4/bfm/mgc_axi4_master.sv \
$env(MENTOR_VIP_AE)/axi4/bfm/mgc_axi4_slave.sv

The two tcl alias commands dev_com and com compile the required design files. These alias
commands are defined in the msim_setup.tcl simulation script generated by Qsys, along with the
simulation model files:

# Compile device library files
dev_com

# Compile Qsys-generated design files
com

The three example test programs are compiled:

# Compile example test program files
vlog master_test_program.sv
vlog slave_test_program.sv
vlog monitor_test_program.sv

The example top-level file is compiled:

# Compile top-level design file
vlog top.sv

Simulation starts with the elab alias defined in the msim_setup.tcl simulation script generated
by Qsys:

# Simulate
elab

Editing the modelsim.ini File

The ModelSim simulator does not have a default installation directory path defined for the
environment variable MvcHome; therefore, you must define a path for this variable.

Note

Setting MvcHome within the modelsim.ini file eliminates the need to specify the
-mvchome
option on the vsim command line.

To provide the installation directory path of the Mentor VIP AE for running a ModelSim
simulation:

1. Edit the modelsim.ini file and find the section that starts with [vsim].

2. Search for MvcHome. If it is not already defined in the modelsim.ini file, you must add

it. You can add this variable at any location in the [vsim] section.

Advertising