Execute_write_response_phase(), Example – Altera Mentor Verification IP Altera Edition AMBA AXI4-Lite User Manual

Page 254

Advertising
background image

Mentor Verification IP AE AXI4-Lite User Guide, V10.3

254

VHDL Slave BFM
execute_write_response_phase()

April 2014

execute_write_response_phase()

This procedure executes a write response phase that is uniquely identified by the transaction_id
argument previously created by the

create_slave_transaction()

procedure. This phase can be

blocking (default) or nonblocking, defined by the transaction record operation_mode field.

It sets the BVALID protocol signal at the appropriate time defined by the transaction record
write_response_valid_delay field. It also sets the transaction_done field on completion

.

Example

-- Create a slave transaction. Creation returns tr_id to identify
-- the transaction.
create_slave_transaction(tr_id, bfm_index, axi4_tr_if_2(bfm_index));

....

-- Execute the write response phase of the tr_id transaction.
execute_write_response_phase(tr_id, bfm_index, axi4_tr_if_2(bfm_index));

Prototype

procedure execute_write_response_phase
(

transaction_id : in integer;
bfm_id : in integer;
path_id : in axi4_path_t; --optional
signal tr_if : inout axi4_vhd_if_struct_t

);

Arguments

transaction_id

Transaction identifier. Refer to

Overloaded Procedure

Common Arguments

” on page 151 for more details.

bfm_id

BFM identifier. Refer to

Overloaded Procedure Common

Arguments

” on page 151 for more details.

path_id

(Optional) Parallel process path identifier:

AXI4_PATH_0
AXI4_PATH_1
AXI4_PATH_2
AXI4_PATH_3
AXI4_PATH_4

Refer to

Overloaded Procedure Common Arguments

” on

page 151 for more details.

tr_if

Transaction signal interface. Refer to

Overloaded

Procedure Common Arguments

” on page 151 for more

details.

Returns

None

Advertising