Example – Altera Mentor Verification IP Altera Edition AMBA AXI4-Lite User Manual

Page 274

Advertising
background image

Mentor Verification IP AE AXI4-Lite User Guide, V10.3

274

VHDL Slave BFM
get_write_addr_data()

April 2014

Example

-- Wait for a write data phase to complete for the write_trans
-- transaction.
get_write_data_phase(write_trans, index, AXI4_PATH_1,

axi4_tr_if_1(index));

-- Get the address, first data byte and byte length for the
-- data phase (beat).
get_write_addr_data

(write_trans, 0, byte_length, addr, data, index,

AXI4_PATH_1, axi4_tr_if_1(index));

-- Store the first data byte into the slave memory using the
-- slave test program do_byte_write procedure.
do_byte_write(addr, data);

-- Get the remaining bytes of the write data phase (beat)
-- and store them in the slave memory.
if byte_length > 1 then

for j in 1 to byte_length-1 loop

get_write_addr_data

(write_trans, j, byte_length, addr, data, index,

AXI4_PATH_1, axi4_tr_if_1(index));

do_byte_write(addr, data);

end loop;

end if;

Returns

dynamic_size

addr

data

Advertising