Altera Video and Image Processing Suite User Manual

Page 131

Advertising
background image

Signal

Direction

Description

dout_endofpacket

Output

dout

port Avalon-ST

endofpacket

signal. This signal

marks the end of an Avalon-ST packet.

dout_ready

Input

dout

port Avalon-ST

ready

signal. The downstream

device asserts this signal when it is able to receive data.

dout_startofpacket

Output

dout

port Avalon-ST

startofpacket

signal. This signal

marks the start of an Avalon-ST packet.

dout_valid

Output

dout

port Avalon-ST

valid

signal. The IP core asserts this

signal when it produces data.

Table 8-4: Signals for Clipper IP Core

These signals are present only if you turn on Include Avalon-MM interface in the Clipper parameter editor.

Signal

Direction

Description

control_av_address

Input

control

slave port Avalon-MM

address

bus. This bus

specifies a word offset into the slave address space.

control_av_chipselect

Input

control

slave port Avalon-MM

chipselect

signal. The

control

port ignores all other signals unless you assert

this signal.

control_av_readdata

Output

control

slave port Avalon-MM

readdata

bus. The IP

core uses these output lines for read transfers.

control_av_waitrequest

Output

control

slave port Avalon-MM

waitrequest

signal.

control_av_write

Input

control

slave port Avalon-MM

write

signal. When you

assert this signal, the

control

port accepts new data from

the

writedata

bus.

control_av_writedata

Input

control

slave port Avalon-MM

writedata

bus. The IP

core uses these input lines for write transfers.

Table 8-5: Signals for Clipper II IP Core

Note: These signals are present only if you turn on Enable runtime of clipping parameters in the Clipper II

parameter editor.

Signal

Direction

Description

control_address

Input

control

slave port Avalon-MM

address

bus. This bus

specifies a word offset into the slave address space.

UG-VIPSUITE

2015.05.04

Video Clipping Signals

8-5

Video Clipping IP Cores

Altera Corporation

Send Feedback

Advertising