Strict_ram_recognition – Altera Quartus II Settings File User Manual

Page 170

Advertising
background image

STRICT_RAM_RECOGNITION

When this option is ON, the Compiler is only allowed to replace RAM if the hardware matches the design

exactly.

Type

Boolean

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

This assignment is included in the Analysis & Synthesis report.
This assignment supports synthesis wildcards.

Syntax

set_global_assignment -name STRICT_RAM_RECOGNITION <value>
set_global_assignment -name STRICT_RAM_RECOGNITION -entity <entity name>
<value>
set_instance_assignment -name STRICT_RAM_RECOGNITION -to <to> -entity
<entity name> <value>

Default Value

Off

Example

set_global_assignment -name strict_ram_recognition on
set_global_assignment -name strict_ram_recognition on -to foo

170

STRICT_RAM_RECOGNITION

MNL-Q21005

2015.05.04

Altera Corporation

Quartus Settings File Reference Manual

Send Feedback

Advertising