Synchronizer_identification – Altera Quartus II Settings File User Manual

Page 764

Advertising
background image

SYNCHRONIZER_IDENTIFICATION

Specifies how the TimeQuest Timing Analyzer identifies registers as being part of a synchronization

register chain for metastability analysis. A synchronization register chain is a sequence of registers with

the same clock with no fan-out in between, which is driven by a pin or logic from another clock domain.

If this option is set to 'Off', the TimeQuest Timing Analyzer does not identify the specified registers, or the

registers within the specified entity, as synchronization registers. If the option is set to 'Auto', the

TimeQuest Timing Analyzer identifies valid synchronization registers that are part of a chain with more

than one register that contains no combinational logic. If this option is set to 'Forced if Asynchronous',

the TimeQuest Timing Analyzer identifies synchronization register chains if the software detects an

asynchronous signal transfer, even if there is combinational logic or only one register in the chain. If this

option is set to 'Forced', then the specified register, or all registers within the specified entity, are identified

as synchronizers. The 'Forced' option should not be applied to the entire design, because doing so

identifies all registers in the design as synchronizers. Registers that are identified as synchronizers are

optimized for improved Mean Time Between Failure (MTBF) as long as the Optimize Design for

Metastability option is turned on. If a synchronization register chain is identified with the 'Forced' or

'Forced if Asynchronous' option, then the TimeQuest Timing Analyzer reports the metastability MTBF

for the chain. MTBF is not reported for automatically-detected register chains; you can use the 'Auto'

setting to generate a report of possible synchronization chains in your design. If a synchronization register

chain is identified with the 'Forced' or 'Forced if Asynchronous' option, then the TimeQuest Timing

Analyzer reports the metastability MTBF for the chain when it meets the design timing requirements.

Old Name

ANALYZE_METASTABILITY

Type

Enumeration

Values

• Auto

• Forced

• Forced If Asynchronous

• Off

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

This assignment supports wildcards.
This assignment supports Fitter wildcards.
This assignment is included in the Fitter report.

Syntax

set_global_assignment -name SYNCHRONIZER_IDENTIFICATION <value>
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION -entity <entity

764

SYNCHRONIZER_IDENTIFICATION

MNL-Q21005

2015.05.04

Altera Corporation

Quartus Settings File Reference Manual

Send Feedback

Advertising