Clock_region – Altera Quartus II Settings File User Manual

Page 461

Advertising
background image

CLOCK_REGION

Specifies that a signal routed using global routing paths should use the specified clock region. Valid values

are in the form \"Regional Clock Region 1\" or \"Periphery Clock Region 1\" and match the clock region

names displayed in the Chip Planner. This assignment can also be used in conjunction with the \"Global

Signal\" assignment to constrain the logic fed by a clock signal to an area of the chip that is smaller than

the clock region specified by the Global Signal assignment. For example, a Global Signal assignment of

\"Global Clock\" and a Clock Region assignment of \"Regional Clock Region 1\" constrains the logic to the

area fed by Regional Clock Region 1.

Type

String

Device Support

This setting can be used in projects targeting any Altera device family.

Notes

This assignment supports wildcards.
This assignment supports Fitter wildcards.

Syntax

set_instance_assignment -name CLOCK_REGION -to <to> -entity <entity name>
<value>
set_instance_assignment -name CLOCK_REGION -from <from> -to <to> -entity
<entity name> <value>

MNL-Q21005

2015.05.04

CLOCK_REGION

461

Quartus Settings File Reference Manual

Altera Corporation

Send Feedback

Advertising