Altera PCI Compiler User Manual

Page 240

Advertising
background image

5–6

User Guide Version 11.1

Altera Corporation

PCI Compiler

October 2011

PCI Compiler with SOPC Builder Flow Design Walkthrough

6.

Click Next to display the Systems Options - 2 tab.

7.

In the System Options - 2 tab, specify the following :

a.

Under PCI Clock/Reset Settings, select Independent PCI and
Avalon Clocks.

b.

Use the default values for the rest.

8.

Click Next to display the PCI Configuration tab.

9.

In the PCI Configuration tab, do the following:

a.

Specify the following for PCI Base Address Registers (BARs):

BAR 0

: Use the default values.

BAR 1

: In the BAR Type list, select 32-Bit Non-

Prefetchable Memory

. The values for the rest are

automatically displayed.

b.

Use the default values for all PCI Read-Only Registers.

10. Click Next to display the Avalon Configuration tab.

11. In the Avalon Configuration tab, specify the following:

a.

Under Fixed Address Translation Table Contents, double-click
the value of PCI Base Address and type 0x30000000

1

Normally the PCI base address is configured according to
your system requirements. In this example, the value
chosen is the same as the one that is used to configure the
trgt_tranx

module in the PCI testbench.

b.

Under Avalon CRA Port, turn on Control Register Access
(CRA) Avalon Slave Port

.

c.

Use the default values for the rest.

12. Click Finish. The PCI Compiler is added to your SOPC Builder

system.

1

Your system is not yet complete, so you can ignore any error
messages generated by SOPC Builder at this stage.

Advertising