Device family support, General description, Table 1–4 – Altera IP Compiler for PCI Express User Manual

Page 6

Advertising
background image

1–4

Chapter 1: Datasheet

Device Family Support

IP Compiler for PCI Express User Guide

August 2014

Altera Corporation

Altera verifies that the current version of the Quartus

®

II software compiles the

previous version of each IP core. Any exceptions to this verification are reported in the

MegaCore IP Library Release Notes and Errata

. Altera does not verify compilation with

IP core versions older than one release.

Table 1–4

shows the level of support offered by

the IP Compiler for PCI Express for each Altera device family.

Device Family Support

f

In the Quartus II 11.0 release, support for Stratix V devices is offered with the Stratix V
Hard IP for PCI Express, and not with the IP Compiler for PCI Express. For more
information, refer to the

Stratix V Hard IP for PCI Express User Guide

.

General Description

The IP Compiler for PCI Express generates customized variations you use to design
PCI Express root ports or endpoints, including non-transparent bridges, or truly
unique designs combining multiple IP Compiler for PCI Express variations in a single
Altera device. The IP Compiler for PCI Express implements all required and most
optional features of the PCI Express specification for the transaction, data link, and
physical layers.

Table 1–4. Device Family Support

Device Family

Support

(1)

Arria II GX Final

Arria II GZ Final

Cyclone IV GX

Final

Stratix IV E, GX

Final

Stratix IV GT

Final

Other device families

No support

Note to

Table 1–4

:

(1) Refer to the

What's New for IP in Quartus II

page for device support level information.

Advertising