Execute_write_response_phase(), Axi3 example – Altera Mentor Verification IP Altera Edition AMBA AXI3/4TM User Manual

Page 468

Advertising
background image

Mentor VIP AE AXI3/4 User Guide, V10.2b

448

VHDL AXI3 and AXI4 Slave BFMs
execute_write_response_phase()

September 2013

execute_write_response_phase()

This procedure executes a write response phase that is uniquely identified by the transaction_id
argument previously created by the

create_slave_transaction()

procedure. This phase can be

blocking (default) or nonblocking, defined by the transaction record operation_mode field.

It sets the BVALID protocol signal at the appropriate time defined by the transaction record
write_response_valid_delay field, and sets the data_beat_done array index element field on
completion. If this is the last data phase (beat) of the burst, it also sets the transaction_done field
on completion

.

AXI3 Example

-- Create a slave transaction. Creation returns tr_id to identify
-- the transaction.
create_slave_transaction(tr_id, bfm_index, axi_tr_if_2(bfm_index));

....

-- Execute the write response phase of the tr_id transaction.
execute_write_response_phase(tr_id, bfm_index, axi_tr_if_2(bfm_index));

Prototype

-- * = axi| axi4
-- ** = AXI | AXI4
procedure execute_write_response_phase
(

transaction_id : in integer;
bfm_id : in integer;
path_id : in *_path_t; --optional
signal tr_if : inout *_vhd_if_struct_t

);

Arguments

transaction_id

Transaction identifier. Refer to

“Overloaded Procedure

Common Arguments”

on page 203 for more details.

bfm_id

BFM identifier. Refer to

“Overloaded Procedure Common

Arguments”

on page 203 for more details.

path_id

(Optional) Parallel process path identifier:

**_PATH_0
**_PATH_1
**_PATH_2
**_PATH_3
**_PATH_4

Refer to

“Overloaded Procedure Common Arguments”

on

page 203 for more details.

tr_if

Transaction signal interface. Refer to

“Overloaded

Procedure Common Arguments”

on page 203 for more

details.

Returns

None

Advertising