Get_read_addr() – Altera Mentor Verification IP Altera Edition AMBA AXI3/4TM User Manual

Page 499

Advertising
background image

VHDL AXI3 and AXI4 Slave BFMs

get_read_addr()

Mentor VIP AE AXI3/4 User Guide, V10.2b

479

September 2013

get_read_addr()

This nonblocking procedure returns the actual address addr a particular byte in a read data
transaction. It also returns the maximum number of bytes (dynamic_size) in the read data phase
(beat). It is used in a slave test program as a helper procedure to return the address of a data byte
in the slave memory

.

Prototype

-- * = axi| axi4
-- ** = AXI | AXI4
procedure get_read_addr
(

transaction_id : in integer;
index : in integer;
byte_index : in integer;
dynamic_size : out integer;
addr : out std_logic_vector(**_MAX_BIT_SIZE-1
downto 0);
bfm_id : in integer;
path_id : in *_path_t; --optional
signal tr_if : inout *_vhd_if_struct_t

);

Arguments

transaction_id

Transaction identifier. Refer to

“Overloaded Procedure

Common Arguments”

on page 203 for more details.

index

Data words array element number.

byte_index

Data byte number in a data phase (beat)

dynamic_size

Number of data bytes in a data phase (beat).

addr

Data byte address.

bfm_id

BFM identifier. Refer to

“Overloaded Procedure

Common Arguments”

on page 203 for more details.

path_id

(Optional) Parallel process path identifier:

**_PATH_0
**_PATH_1
**_PATH_2
**_PATH_3
**_PATH_4

Refer to

“Overloaded Procedure Common Arguments”

on page 203 for more details.

tr_if

Transaction signal interface. Refer to

“Overloaded

Procedure Common Arguments”

on page 203 for more

details.

Returns

dynamic_size

addr

Advertising