Example 11-29. handle read, Handle read, Example 11-29 – Altera Mentor Verification IP Altera Edition AMBA AXI3/4TM User Manual

Page 656

Advertising
background image

Mentor VIP AE AXI3/4 User Guide, V10.2b

636

VHDL Tutorials
Verifying a Master DUT

September 2013

Example 11-29. handle read

-- handle_read : read data and response through path 4
-- This process reads data from memory and send read data/response
either at
-- burst or phase level depending upon slave working mode.
process
variable read_trans: integer;
variable burst_length : integer;
variable byte_length : integer;
variable addr : std_logic_vector(AXI_MAX_BIT_SIZE-1 downto 0);
variable data : std_logic_vector(7 downto 0);
begin
loop
pop_transaction_id(read_trans, AXI_QUEUE_ID_1, index, AXI_PATH_4,
axi_tr_if_4(index));
set_read_data_valid_delay(read_trans, AXI_PATH_4,
axi_tr_if_4(index));

get_burst_length(burst_length, read_trans, index, AXI_PATH_4,

axi_tr_if_4(index));
for i in 0 to burst_length loop
get_read_addr(read_trans, i, 0, byte_length, addr, index,
AXI_PATH_4, axi_tr_if_4(index));
do_byte_read(addr, data);
set_read_data(read_trans, i, 0, byte_length, addr, data, index,
AXI_PATH_4, axi_tr_if_4(index));

if byte_length > 1 then

for j in 1 to byte_length-1 loop
get_read_addr(read_trans, i, j, byte_length, addr, index,
AXI_PATH_4, axi_tr_if_4(index));
do_byte_read(addr, data);
set_read_data(read_trans, i, j, byte_length, addr, data,
index, AXI_PATH_4, axi_tr_if_4(index));

end loop;

end if;

if slave_mode = AXI_PHASE_SLAVE then

execute_read_data_phase(read_trans, i, index, AXI_PATH_4,

axi_tr_if_4(index));

end if;

end loop;

if slave_mode = AXI_TRANSACTION_SLAVE then
execute_read_data_burst(read_trans, index, AXI_PATH_4,
axi_tr_if_4(index));
end if;
end loop;
wait;
end process;

Advertising