Altera Mentor Verification IP Altera Edition AMBA AXI3/4TM User Manual

Page 759

Advertising
background image

VHDL AXI3 and AXI4 Test Programs

VHDL AXI3 Master BFM Test Program

Mentor VIP AE AXI3/4 User Guide, V10.2b

739

September 2013

get_burst_length(burst_length, id, index, path_id, tr_if);
for i in 0 to burst_length loop
set_data_valid_delay(i, 10, id, index, path_id, tr_if);
end loop;
end set_read_data_valid_delay;

begin

-- To create pipelining in VHDL there are multiple channel path in each
API.
-- So each process will choose separate path to interact with BFM.

-- process_write : write address phase through path 0
-- This process keep receiving write address phase and push the
transaction into queue through
-- push_transaction_id API.
process
variable write_trans : integer;
begin
set_config(AXI_CONFIG_MAX_OUTSTANDING_RD,
m_max_outstanding_read_trans, index, axi_tr_if_0(index));
set_config(AXI_CONFIG_MAX_OUTSTANDING_WR,
m_max_outstanding_write_trans, index, axi_tr_if_0(index));
wait_on(AXI_RESET_0_TO_1, index, axi_tr_if_0(index));
wait_on(AXI_CLOCK_POSEDGE, index, axi_tr_if_0(index));
loop
create_slave_transaction(write_trans, index, axi_tr_if_0(index));
set_write_address_ready_delay(write_trans, axi_tr_if_0(index));
get_write_addr_phase(write_trans, index, axi_tr_if_0(index));
push_transaction_id(write_trans, AXI_QUEUE_ID_0, index,
axi_tr_if_0(index));
end loop;
wait;
end process;

-- handle_write : write data phase through path 1
-- This method receive write data burst or phases for write transaction
-- depending upon slave working mode and write data to memory.
process
variable write_trans: integer;
variable byte_length : integer;
variable burst_length : integer;
variable addr : std_logic_vector(AXI_MAX_BIT_SIZE-1 downto 0);
variable data : std_logic_vector(7 downto 0);
variable last : integer := 0;
variable loop_i : integer := 0;
begin
loop
pop_transaction_id(write_trans, AXI_QUEUE_ID_0, index, AXI_PATH_1,
axi_tr_if_1(index));
set_write_data_ready_delay(write_trans, AXI_PATH_1,
axi_tr_if_1(index));

if (slave_mode = AXI_TRANSACTION_SLAVE) then
get_write_data_burst(write_trans, index, AXI_PATH_1,
axi_tr_if_1(index));
get_burst_length(burst_length, write_trans, index, AXI_PATH_1,
axi_tr_if_1(index));

Advertising