Execute_read_addr_ready(), Axi3 bfm, Axi4 example – Altera Mentor Verification IP Altera Edition AMBA AXI3/4TM User Manual

Page 479

Advertising
background image

VHDL AXI3 and AXI4 Slave BFMs

execute_read_addr_ready()

Mentor VIP AE AXI3/4 User Guide, V10.2b

459

September 2013

execute_read_addr_ready()

This AXI4 procedure executes a read address ready by placing the ready argument value onto
the ARREADY signal. It will block (default) for one ACLK period.

AXI3 BFM

Note

The execute_read_addr_ready() task is not available in the AXI3 BFM. Use the

get_read_addr_phase()

task along with the transaction record address_ready_delay field.

AXI4 Example

-- Set the ARREADY signal to 1 and block for 1 ACLK cycle
execute_read_addr_ready(1, 1, index, AXI4_PATH_6, axi4_tr_if_6(index));

Prototype

procedure execute_read_addr_ready
(

ready : in integer;
bfm_id : in integer;
path_id : in axi4_path_t; --optional
signal tr_if : inout axi4_vhd_if_struct_t

);

Arguments

transaction_id

Transaction identifier. Refer to

“Overloaded Procedure

Common Arguments”

on page 203 for more details.

non_blocking_mode

(Optional) Nonblocking mode:

0 = Nonblocking
1 = Blocking (default)

bfm_id

BFM identifier. Refer to

“Overloaded Procedure Common

Arguments”

on page 203 for more details.

path_id

(Optional) Parallel process path identifier:

AXI4_PATH_0
AXI4_PATH_1
AXI4_PATH_2
AXI4_PATH_3
AXI4_PATH_4

Refer to

“Overloaded Procedure Common Arguments”

on

page 203 for more details.

tr_if

Transaction signal interface. Refer to

“Overloaded Procedure

Common Arguments”

on page 203 for more details.

Returns

None

Advertising