Altera Mentor Verification IP Altera Edition AMBA AXI3/4TM User Manual

Page 772

Advertising
background image

Mentor VIP AE AXI3/4 User Guide, V10.2b

752

VHDL AXI3 and AXI4 Test Programs
VHDL AXI4 Slave BFM Test Program

September 2013

get_write_addr_data(write_trans, loop_i, 0, byte_length, addr,
data, index, AXI4_PATH_1, axi4_tr_if_1(index));
do_byte_write(addr, data);
if byte_length > 1 then
for j in 1 to byte_length-1 loop
get_write_addr_data(write_trans, loop_i, j, byte_length,
addr, data, index, AXI4_PATH_1, axi4_tr_if_1(index));
do_byte_write(addr, data);
end loop;
end if;
loop_i := loop_i + 1;
end loop;
end if;
push_transaction_id(write_trans, AXI4_QUEUE_ID_2, index,
AXI4_PATH_1, axi4_tr_if_1(index));
end loop;
wait;
end process;

-- handle_response : write response phase through path 2
-- This method sends the write response phase
process
variable write_trans: integer;
begin
loop
pop_transaction_id(write_trans, AXI4_QUEUE_ID_2, index, AXI4_PATH_2,
axi4_tr_if_2(index));
set_wr_resp_valid_delay(write_trans, AXI4_PATH_2,
axi4_tr_if_2(index));
execute_write_response_phase(write_trans, index, AXI4_PATH_2,
axi4_tr_if_2(index));
tmp_config_num_outstanding_wr_phase :=
tmp_config_num_outstanding_wr_phase - 1;
end loop;
wait;
end process;

-- process_read : read address phase through path 3
-- This process keep receiving read address phase and push the
transaction into queue through
-- push_transaction_id API.
process
variable read_trans: integer;
begin
set_config(AXI4_CONFIG_MAX_OUTSTANDING_RD,
m_max_outstanding_read_trans, index, AXI4_PATH_3, axi4_tr_if_3(index));
set_config(AXI4_CONFIG_MAX_OUTSTANDING_WR,
m_max_outstanding_write_trans, index, AXI4_PATH_3, axi4_tr_if_3(index));
wait_on(AXI4_RESET_0_TO_1, index, AXI4_PATH_3, axi4_tr_if_3(index));
wait_on(AXI4_CLOCK_POSEDGE, index, AXI4_PATH_3, axi4_tr_if_3(index));
loop
create_slave_transaction(read_trans, index, AXI4_PATH_3,
axi4_tr_if_3(index));
get_read_addr_phase(read_trans, index, AXI4_PATH_3,
axi4_tr_if_3(index));
get_config(AXI4_CONFIG_NUM_OUTSTANDING_RD_PHASE,
tmp_config_num_outstanding_rd_phase, index, AXI4_PATH_3,
axi4_tr_if_3(index));

Advertising